======fread_unpack====== WMMEGA FW >= 2.1179 Read data from a file into an indexed array in binary form. ====Description==== int fread_unpack ( int $handle, string $format , int $count , int $interval ) This function performs a binary-safe read of data from a file into an indexed array that is automatically created. The format parameter determines the element size of the array. ====Parameters==== $handle: Valid handle of a previously opened file $format: String containing one of the following items: ^ Value ^ Description ^ | l | signed long (always 32 bit, machine byte order) | | f | floating point(always 32 bit, machine byte order) | | c | signed byte | $count: Number of elements to read (this will be multiplied by the array element size in byte which could be 1,2 or 4 depending on the data type) $interval: Interval in elements to skip between reads (keep this to 1 to read a block of data) ====Return Values==== array indexed array containing the data ====Examples==== ====See Also==== [[pack()]] - Pack data [[unpack()]] - Unpack data [[fwrite_pack()]] - Write binary data from an indexed array